TOP > 地域別

D4C/3


▼下へ
自分のトピックを作る
■: ファニーたん [×]
2015-02-03 13:51:33 

ドジャァァァァン


1: 一見さん [×]
2015-02-03 13:52:19

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity KADAI14 is
port ( CLK, RESET : in std_logic;
Z : out std_logic_vector(3 downto 0));
end KADAI14;


architecture RTL of KADAI14 is
signal DEC : std_logic;
signal COUNT : std_logic_vector(3 downto 0);
begin
process( COUNT, RESET, DEC ) begin
if( COUNT = "0000" ) then
DEC <= '0';
elsif( RESET='1' ) then
DEC <= '0';
elsif( COUNT="1111" ) then
DEC <= '1';
elsif( DEC='1' and COUNT="1010" ) then
DEC <= '0';
end if;
end process;

process( CLK, RESET, DEC ) begin
if( RESET = '1' ) then
COUNT <= "0000";
elsif( CLK'event and CLK='0' ) then
if ( DEC='1' ) then
COUNT <= COUNT - 1;
else
COUNT <= COUNT + 1;
end if;
end if;
end process;

Z <= COUNT;
end RTL;



2: 匿名さん [×]
2015-02-03 13:53:53

無事 遺体を手にしてくれ。

3: 常連さん [×]
2015-02-03 13:55:15

理解した

▲上へ

名前: 下げ

トリップ: ※任意 半角英数8-16文字
※画像を共有する場合は、外部の画像アップローダなどをご利用ください

規約 マナー
※トリップに特定文字列を入力することで、自分だけのIDが表示されます

【お勧め】
初心者さん向けトピック



[0]セイチャットTOP
[1]地域別
[9]最新の状態に更新
お問い合わせフォーム
(C) Mikle